Перейти к содержанию
    

Синтез в DC

Всем привет!

Задача следующая: есть небольшая схема, нужно ее отсинтезировать. Все как обычно, но есть нюанс: нужно, чтобы синтезатор считал, что на каждой цепи висит дополнительная емкость. Это, как если использовать WLM с от большей area, чем есть на самом деле, но с той разницей, что я хочу точно указывать размер аннотируемой емкости (на все соединения емкость аннотируется одна и та же). Т.е. эта емкость не замещает, а идет в плюс к емкостям входных пинов нагрузки. WLM моя библиотека не поддерживает.

Поскольку во время синтеза все меняется постоянно, то set_load не работает (синтезатор просто выкидывает все цепи с аннтированными нагрузками). Вопрос - как быть?

 

Еще была мысль - аннотировать емкость на выходной пин каждого lib_cells, это спасло бы ситуацию. Но как это правильно сделать, я не знаю. Править руками lib файл я пока не решаюсь, надеюсь на более гуманное решение. Хелп!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сергей, спасибо!

Никогда этого не делал, и формата liberty не знаю. Но могу попытаться скопировать из другой библиотеки. Вопрос: wlm конструкция в lib файле - задает только area и емкость аннотированной нагрузки на все соединения, или же там еще что то задается? Можно ли обойтись вариантом-минимум (только емкость задать)?

 

И еще, можно ли все же обойтись без правки lib файла? Хотелось бы написать универсальный, кросс-библиотечный скрипт, где емкость задается константой, либо формулой (например, берется равной емкости входного пина какого либо элемента, и т.д.)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

там задается, как я помню, capacitance, resistance, slope - удельные значения, и зависимость длины разводки от количества фэнаутов для указанного диапазона площадей. Ничего сложного по идее. Вам, наверное, надо задать там всегда одну длину дорожки для любой площади и любого кол-ва фэнаутов, и определить ее емкость и сопротивление.

 

А вот без lib файла - я не знаю как сказать это DC.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...