Перейти к содержанию
    

Проблема с Ip-core

Здравствуйте

 

Такая проблема. Создал проект с использованием ip-core. Симуляция не проходит, т.к. выдаёт ошибку и соответственно Process "Synthesize - XST" failed.

 

WARNING:HDLCompiler:89 - "C:\RTI\synchro_control\synchronization\system\system_top.vhd" Line 77: <trimod> remains a black-box since it has no binding entity.

 

Корка Tri-mode ETH Mac. Плата Kintex-7

При создании проекта и включении в него example design'a, то даже она не компилируется и также выдаёт ошибку эту.

Подскажите, пожалуйста, в чем соль

Изменено пользователем TimeToSleep

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Похоже на то, что не подключена библиотека с симуляционной моделью использованого ip-core.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Похоже на то, что не подключена библиотека с симуляционной моделью использованого ip-core.

 

А подскажите, пожалуйста, как подключить библиотеку? Вот нашел, где изначально лежит она (вроде правильно сделал). Но как подключить не понимаю

C:\Xilinx\14.7\ISE_DS\ISE\coregen\ip\xilinx\network\com\xilinx\ip\tri_mode_eth_mac_v5_5

 

 

-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------

 

Еще вопрос такой. С чем может быть связано то, что при попытке добавить файл в проект, он не вставляется в нужное место? т.е. не связывается с файлом, в котором он указан как компонент, а вставляется в проект дополнительно, как отдельный файл.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А подскажите, пожалуйста, как подключить библиотеку?
Не надо ничего подключать. Симуляционные библиотеки для синтеза не нужны.

 

Еще вопрос такой. С чем может быть связано то, что при попытке добавить файл в проект, он не вставляется в нужное место? т.е. не связывается с файлом, в котором он указан как компонент, а вставляется в проект дополнительно, как отдельный файл.
Пока вы не покажете код, гадать можно долго.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Похоже на то, что не подключена библиотека с симуляционной моделью использованого ip-core.

 

Я похоже понял о чем вы говорите.

Как говорит этот сайт http://www.xilinx.com/support/documentatio...n_libraries.htm

В самом топике сказано, что необходима библиотека SecureIP. Но я не понимаю, как её добавить в проект и скомпилить.

SmartModel/SecureIP simulation library for both functional and timing simulation of Xilinx Hard-IP, such as PPC, PCIe®, GT, and TEMAC IP.

В опциях Compile HDL simulation libraries нет моего симулятора (iSim), а с другими тупо не симулирует. Ну и собсн я не понимаю куда добавлять эту строку -L secureip

Подскажите, пожалуйста

 

Не надо ничего подключать. Симуляционные библиотеки для синтеза не нужны.

 

Пока вы не покажете код, гадать можно долго.

 

Такс. Ладно. Понял

 

Код? Сейчас кину весь проект.

sync_test.7z

Изменено пользователем TimeToSleep

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1trimod.vhd в проект добавлять не надо. Внимательно прочитайте всё, что написано у него в "шапке".

 

Вам нужен файл trimod.ngc. Такого файла нет, но есть 1tyrimod.ngc. Непонятно, почему он так называется. Если его переименовать в trimod.ngc и указать к нему правильный путь в настройках Тranslate (Macro search path), то всё становится хорошо. И ещё надо поправить speed grade в настройках проекта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1trimod.vhd в проект добавлять не надо. Внимательно прочитайте всё, что написано у него в "шапке".

 

Вам нужен файл trimod.ngc. Такого файла нет, но есть 1tyrimod.ngc. Непонятно, почему он так называется. Если его переименовать в trimod.ngc и указать к нему правильный путь в настройках Тranslate (Macro search path), то всё становится хорошо. И ещё надо поправить speed grade в настройках проекта.

 

Здравствуйте,

Извините за поздний ответ.

Насчет какой "шапки" говорится? В проекте ведь явно не написано, что нужен именно .ngc файл, лишь компонента trimod

Я переименовал и добавил trimod.ngc в проект. А путь указал и в Тranslate (Macro search path), и в настройках Synthesis (Core Search Directories (-sd)), а также поменял в настройках проекта тип платы на свой кинтекс и всё равно выдаётся ошибка, которая, как я понимаю, и не позволяет скомпилировать проект.

WARNING:HDLCompiler:89 - "C:\RTI\synchro_control\synchronization\ipcore_dir\trimod\example_design\trimod_block.vhd" Line 214: <trimod> remains a black-box since it has no binding entity.

 

А если указывать путь к "корке" на папку выше, он её найдет? (я указывал и выше и саму папку, не помогало)

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Насчет какой "шапки" говорится?

Комментарий, с которого начинается файл 1trimod.vhd. Прочтите его весь.

 

В проекте ведь явно не написано, что нужен именно .ngc файл, лишь компонента trimod
Компонент может существовать либо в виде исходного кода, либо в виде уже синтезированного нетлиста (макроса).

 

Я переименовал и добавил trimod.ngc в проект.
Если у вас исходный код, то его надо сначала синтезировать. Поэтому в этом случае его надо добавить в проект. У вас же этот компонент уже синтезирован (.ngc), поэтому в проект его добавлять не надо. Надо лишь прописать к нему путь в Тranslate (Macro search path). И имя компонента должно совпадать с именем файла: trimod -> trimod.ngc. Тогда всё найдётся и слинкуется.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Комментарий, с которого начинается файл 1trimod.vhd. Прочтите его весь.

извините, я прочитал и не понял, поэтому спросил :05:

 

 

 

Компонент может существовать либо в виде исходного кода, либо в виде уже синтезированного нетлиста (макроса).

Если у вас исходный код, то его надо сначала синтезировать. Поэтому в этом случае его надо добавить в проект. У вас же этот компонент уже синтезирован (.ngc), поэтому в проект его добавлять не надо. Надо лишь прописать к нему путь в Тranslate (Macro search path). И имя компонента должно совпадать с именем файла: trimod -> trimod.ngc. Тогда всё найдётся и слинкуется.

Спасибо, не знал про это.

А я поэтому и хотел добавить компонент trimod.vhd, но он не "склеивается" с проектом, хоть и все сигналы объявленны, как у .ngc файла.

А без trimod.ngc тоже не компилится, всё та же ошибка с "black-box". :( Путь прописан, всё сделал, как было сказано, но не помогло.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А без trimod.ngc тоже не компилится, всё та же ошибка с "black-box". :( Путь прописан, всё сделал, как было сказано, но не помогло.
Вы что-то делаете не так. У меня процесс проходит успешно.

Пробуйте приложенный проект.

sync_test2.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вы что-то делаете не так. У меня процесс проходит успешно.

 

Видимо действительно что-то у меня не так. Ваш проект скомпилился!

Спасибо вам огромное! Просто невероятное! Вы меня спасли :biggrin:

 

Вы что-то делаете не так.

 

Я понял в чем была ошибка, точнее от чего проект не проходил

В настройках синтеза я выставил путь для поиска ip-core, как только я его убрал, проект сразу скомпилился.

А Translate не проходил из-за того, что я корку открыл и потыкал настройки, вроде всё оставил как есть, но я перегенерил её, поэтому и транслейт не проходил.

Спасибо вам большое:) Вы лучший! :a14:)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...