Перейти к содержанию
    

Verilog инициализация ROM из файла.

Приветствую.

 

Допустим имеется такой массив векторов:

 

reg signed [7:0] REGS [0:255];

 

Пусть некоторая программа сгенерировала нам набор целых знаковых чисел, которые мы хотим поместить в ROM:

 

initial begin

$readmemb("ROM_INIT_FILE", REGS);

end

 

Будут ли наши целые знаковые числа автоматически преобразованы в 8-ми битное представление или нужны дополнительные движения руками?

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...