Перейти к содержанию
    

Frezer

Участник
  • Постов

    11
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Посетители профиля

386 просмотров профиля
  • KiV

  1. Поменял память, все решилось
  2. Здравствуйте, еще такая проблема возникла... с памятью :rolleyes: В общем надо сформировать в проге из готовой платы слой, в котором бы отображались вместо переходных отверстий их условные обозначения согласно drill table, однако я позабыл как это делается и чето не могу вспомнить... Может кто поможет?
  3. Хех, как раз именно .dsn и нету... Может поэтому то и возмущается Тут еще одна проблемка назрела, может кто поможет: моделировал работу компаратора AD8611, собрал по схемке, как в даташите: Вышло примерно вот так: Выдало ошибку: ERROR - Convergence problem in transient analysis at Time = 121.7E-09 Time step = 145.5E-21, minimum allowable step size = 1.000E-18 These supply currents failed to converge: I(X_U1.E3) = 10.00GA \ 10.00GA I(X_U1.E4) = -10.00GA \ -10.00GA I(X_U1.V1) = -10.00GA \ -10.00GA I(X_U1.V2) = -10.00GA \ -10.00GA Внимание вопрос: что это такое и как вылечить? Я так понимаю, что он насчитал предельные значения по току... Есть вариант, что ошибка в схеме подключения или в самой модели (текст модели прилагаю). Самое интересное, что такое только при анализе Time Domain, в AC Sweep/Noise все считает... AD8612.txt
  4. Спасибо, а есть ли возможность читать проект из версии 10.5 в 9.2?
  5. Здравствуйте, еще такой вопрос возник: как строить АЧХ понятно: ставишь VAC, симулируешь в AC Sweep, а вот как получить графики ФЧХ (есть мнение, что в том же симуляторе, только с помощью оператора P(сигнал), однако я не уверен, что это верно)? Пока не разобрался...
  6. Добавление выше указанных резисторов не исправила ситуацию, однако изменение точности расчетов, в появляющемся при этой ошибке окне, позволило совершить моделирование до конца. Правда результат был получен не тот, который ожидался... Может быть проблема из-за этого изменения, хотя за точность "перевода" реального транзистора в виртуальный я не ручаюсь.
  7. Убрал запись %s и все вроде встало, однако вот какая проблема: при запуске моделирования расчет остановился, выдав ошибку (см. прикрепленный); может кто знает с чем связано и где исправить/почитать? __________________.txt
  8. Интересная версия :rolleyes: В процессе решения проблемы возникла следующая трудность: по умолчанию в PSpiceTemplate: M^@REFDES %d %g %s %s @MODEL ?L/ \n+L=@L/ ?W/ \n+W=@W/ ?AD/ \n+AD=@AD/ ?AS/ \n+AS=@AD/ ?PD/ \n+PD=@PD/ ?PS/ \n+PS=@PS/ ?NDR/ \n+NDR=@NDR/ ?NRS/ \n+NRS=@NRS/ ?NRG/ \n+NRG=@NRG/ ?NRB/ \n+NRB=@NRB/ ?M/ \n+M=@M/ Если, как предлагалось в примере, заменить строку выше на: X^@REFDES %d %g %s %s @MODEL или просто заменить М на Х в первой строчке, то, при симуляции выдает (и в 1-м и во 2-м случаях): ERROR -- Incorrect number of interface nodes for X_Q1 Я так понимаю это что-то связано с количеством/нумерацией пинов? :blink:
  9. К сожалению обзавестись лицензией последней версии у меня сейчас возможности нет, поэтому попытаю счастье просто задав вопрос :rolleyes: Суть проблемы вот в чем: составил схему для моделирования, запустил и вот что вышло: **** 01/01/02 03:19:48 ********* PSpice 9.2 (Mar 2000) ******** ID# 1 ******** ** Profile: "proto2-proto2" [ E:\TEST\lab3-proto2-proto2.sim ] **** CIRCUIT DESCRIPTION ****************************************************************************** ** Creating circuit file "lab3-proto2-proto2.sim.cir" ** WARNING: THIS AUTOMATICALLY GENERATED FILE MAY BE OVERWRITTEN BY SUBSEQUENT SIMULATIONS *Libraries: * Local Libraries : .LIB ".\lab3.lib" * From [PSPICE NETLIST] section of C:\Program Files\Orcad\PSpice\PSpice.ini file: .lib "C:\Program Files\Orcad\Capture\Library\PSpice\IXYS.lib" .lib "C:\Program Files\Orcad\Capture\Library\PSpice\IXFK120N20.lib" .lib "C:\Program Files\Orcad\Capture\Library\PSpice\ixdd415si.lib" .lib "C:\Program Files\Orcad\Capture\Library\PSpice\blf242.lib" .lib "E:\TEST\lab3.lib" .lib "E:\TEST\LAB2.lib" .lib "E:\TEST\LAB1.lib" .lib "nom.lib" *Analysis directives: .DC LIN V_V2 0 60 0.01 .PROBE V(*) I(*) W(*) D(*) NOISE(*) .INC ".\lab3-proto2.net" **** INCLUDING lab3-proto2.net **** * source LAB3 V_V1 N00267 0 5.5Vdc V_V2 N00216 0 60Vdc M_Q3 N00216 N00267 0 0 501N04A **** RESUMING lab3-proto2-proto2.sim.cir **** .END WARNING -- Library file E:\TEST\lab3.lib has changed since index file lab3.ind was created. WARNING -- The timestamp changed from Tue Jan 01 03:18:58 2002 to Tue Jan 01 03:19:38 2002. Making new index file lab3.ind for library file lab3.lib Index has 1 entries from 1 file(s). * PSpice Model Editor - Version 9.2 *$ ********** *SYM=POWMOSN .subckt 501N04A 10 20 30 * TERMINALS: D G S * 500 Volt 4.5 Amp 1.5 ohm N-Channel Power MOSFET 10-30-2001 M1 1 2 3 3 DMOS L=1U W=1U RON 5 6 9.5 DON 6 2 D1 ROF 5 7 3.5 DOF 2 7 D1 D1CRS 2 8 D2 D2CRS 1 8 D2 CGS 2 3 .6N RD 4 1 1.5 DCOS 3 1 D3 RDS 1 3 5.0MEG LS 3 30 .1N LD 10 4 1N LG 20 5 1N .MODEL DMOS NMOS LEVEL=3 VTO=3.0 KP=6.0 .MODEL D1 D IS=.5F CJO=1P BV=100 M=.5 VJ=.6 TT=1N .MODEL D2 D IS=.5F CJO=175P BV=500 M=.5 VJ=.6 TT=1N RS=10M .MODEL D3 D IS=.5F CJO=250P BV=500 M=.3 VJ=.4 TT=400N RS=10M .ENDS *$ ERROR -- Model 501N04A used by M_Q3 is undefined Не знаю, что можно сделать, чтобы работало... Описываю примерный порядок произведенных действий: 1) скопировал текст модели из спецификации транзистора с сайта производителя 2) создал olb библиотеку в Orcad Capture 3) создал part, отрисовал и ввел параметры (см. рис. содержание библиотеки olb.bmp) 4) создал lib библиотеку в PSpice Model Editor (см. рис. библиотека lib.lib) 5) создал новую модель, перешел в текстовое представление, удалил все и скопировал содержание модели из спецификации (см. рис. содержание библиотеки lib.bmp) 6) сохранил все, пооткрывал все файлы - убедился, что все сохранилось 7) создал проект для моделирования, вставил файлы и попытался запустить процесс, однако ошибка все испортила. Лог моделирования см. вверху, параметры моделирования см. рис. параметры моделирования.bmp. Обращаю внимание, что все библиотеки были подключены. Также пробовал создать part для модели из lib библиотеки командой File->Create Capture Parts... Однако выдает ошибку типа: "Error - Failed to Find File [C:\...\Pspice\IXYS.err]!!!". Создание файла с таким расширением успеха не принесло.
  10. Господа, столкнулся с проблемой работоспособности элемента библиотеки ПО Orcad 9.2, могу ли я здесь получить консультацию или мне надо ходить в другое место? :1111493779:
  11. Здравствуйте, скинул вам на почту вопрос про идентификацию элемента. Жду ответа. Спасибо.
×
×
  • Создать...